Хочется поздравить всю команду программистов сайта!
УРААААААААААА!!!
Хочется поздравить всю команду программистов сайта!
УРААААААААААА!!!
врядли они выглядят так
от это приятней будет
Осталось токо скомпилить!
и
beer=20;
while(day_of_programmer)
{
for(i = 0; i < beer ; i++ )
DrinkBeer();
if(beer==0)
AddBeer();
}
void AddBeer()
{
beer+=20;
}
Последний раз редактировалось sceen; 13.09.2010 в 11:37.
Присоединяюсь =)) Я тож прогер, если кто не знал )
Всех с праздником!
с каких пор xml компилят?
Всех с праздником.
Добавлю на питоне (PHP написано для хайлайта ):
[PHP]beer = [x in pab.beer()]
def celebrateDayOfProgrammer(self):
while 2+2 ==4 and self.canWalk(): self.drinkBeer(0.5)
def drinkBeer(self, cap):
self.stomach.append(beer.pop())
if self.stomach.is_full(): angerSomeBeer()
def canWalk(self):
for i in range(1, 10):
if not step(i): return false
return true
def angerSomeBeer(self):
self.gotoWC();
self.anger();[/PHP]
отступы работают в пхп коде)
Доброняка!! \(^__^)/
Имхо проверка self.canWalk() лишняя. Я вот сидя проги пишу... так что или убирай или меняй на canSit()... вернее даже на просто can()
Немного ActonScript из Flash
Frame 1
{
today=13.09.2010;
stop();
Pivka=0;
if((char)today==13.09.2010){
trace="Урааа товарищи!!! с праздником нас!!!"
trace="Еще пивка?"
attachButton(Yes, 1, 1) on(relase){ Pivka=1;} \\Кнопка да
Yes._x=250;
Yes._y=250;
attachButton(No, 1, 1) on(release{Pivka=0;} \\Кнопка нет
No._x=500;
No._y=250;
}
Frame 2
{
if ((Nuber)Pivka==1{gotoAndStop(1)}
else
{gotoAndStop(3)}
}
Frame 3
{
trace="Ну вот и все напились пора заканчивать"
}
perl:
$beer=$ARGV[0];
while(($day_of_programmer)&&($beer)){
DrinkBeer();
}
sub DrinkBeer(){
#Человеко-зависимый код
$beer--;
}
Последний раз редактировалось MegaDen; 13.09.2010 в 12:33.
С праздничком! ))
[PHP]
...
var
beer, live_people, money: integer;
mistake_toilet: boolean;
function enter_beer_edded()
begin
Result:= StrToInt(inputbox(prog, 'Скоко добавить бутылок пиваса?', '10'));
end;
procedure beer_add(how_mach)
begin
beer:= beer + how_mach;
money:= zakodirovat_md_pjat(razkodirovat_md_pjat(money)-how_mach*50); // 1 beer стоит 50р.
end;
procedure people_connect_to_beer()
begin
if (beer>4)
beer:= beer - 5 // 1 beer = 1.5 литра
else
beer_add(enter_beer_edded());
if (live_people>0)
live_people:= live_people - 1; // 1 live_people засыпает(или в хлам) после 5 beer
else
mistake_toilet:= true; // Если все спеклись, то сартир точно заблеван!
end;
begin
beer:= 20;
live_people:= administracia_sc2tv(); // Возвращает значение integer
money:= a35fe7f7fe8217b4369a0af4244d1fca; // Зашифрованное число, md5, в рублях
while ((beer>0) AND (live_people>0) AND (mistake_toilet==false))
begin
people_connect_to_beer();
end;
end.
[/PHP]
Ну как-то так...
Не смог удержаться и решил довести до ума
Число реальное Можете попыхтеть, впринципе подобрать будет не сложно.Сообщение от MyIE
Последний раз редактировалось MyIE; 13.09.2010 в 13:09.
добавлю )))
VHDL:
[CENTER]entity DrinkBeer is
generic ( DrinkAtOnce : integer := 1;
AllOfficeBeer : integer := 10;
AllOfficePeople : integer := 5);
port ( Every_5_minutes : in std_logic);
end DrinkBeer;
architecture Day_of_programmer of DrinkBeer is
constant GetMoreBeer : std_logic_vector(AllOfficeBeer-1 downto 0);
signal People : std_logic_vector(AllOfficePeople-1 downto 0);
signal Beer : std_logic_vector(AllOfficeBeer-1 downto 0);
begin
process(Every_5_minutes)
begin
if rising_edge(Every_5_minutes) then
if Beer < (People *DrinkAtOnce) then
Beer <= Beer + GetMoreBeer;
else
Beer <= Beer - (People *DrinkAtOnce);
end if;
end if;
end process;
process
begin
// celebrate ))
end process;
end Day_of_programmer;[/CENTER]
Последний раз редактировалось revers_dnp; 13.09.2010 в 13:22. Причина: говорят спойлер не видно...
Спойлеры из нот эвейлибал, убери спойлер
а у меня спойлер работал, странно...
Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)